加载中...
祭别学生时代
发表于:2010-10-28 | 分类: 电子元器件 电子基础
字数统计: 8.9k | 阅读时长: 34分钟 | 阅读量:

数字电路

gate:: AND: 7408 二输入 14脚 四套 14-VCC 7-GND 7411 三输入 14脚 三套 … 7421 四输入      两 OR: 7432 二输入 14脚 两套 NOT: 7404        14脚 六套 1-2 3-4 5-6 9-8 11-10 13-12 NAND: 7400 二输入 14脚 四套 1、2-3;4、5-6;10、9-8;13、12-11 7410 三输入      三 7420 四输入      两 7430 八输入      一 NOR: 7402 二输入      四 7427 三         三 X-OR: 7486 二输入      四  1、2-3;4、5-6;10、9-8;13、12-11 combinational logic circuits:都是由具体功能实现的,可以由真值表、卡诺图自己设计来 Adder 7483/283 四位全加器 输入:A 4位 B 4位 -C;输出:4 =Y;16脚 比较器 编码器 入多出少 译码器  3-8译码器 74138;4-7译码器  7448七段码译码器 数据选择器 多个 选择其中一个 74151 8选1数据选择器 计数器/定时器 组合逻辑电路设计: 列真值表 卡诺图 写表达式 画逻辑图 由基本门组成的就不用说了,如果由现有组合逻辑模块组成,则写出现有组合逻辑器件的一般表达式,然后与需要的表达式作一比较 时序逻辑电路分析: 写驱动方程 如 J=  K= 代特性方程得出转移方程 比如代入 Q的n+1次方=JQ’+K’Q 得… 写输出方程 时序逻辑电路的设计: 1.画状态图 2.对应选N个触发器 状态数小于2的N次方时有多余态 3.画出次态卡诺图 Q一到X的n+1次方 4.对比JK特性方程,得出驱动方程 5.画出逻辑图 6.检查可否自启动,如果没有多余态当然可以自启动,有的有多余态的也可以自启动

电路分析

电路类型 电阻电路: 电阻 代数方程  线性代数 瞬时的 比如,U=RI,如果电阻为10欧,电压为5V,则电流为0.5A,当电压变为10V,电流立即变为1A。电路是无记忆的 动态电路: 信号不能立即变化 电路的响应与激励的过去历史有关,电路是有记忆的,具有电容、电感具有记忆性质的动态元件,涉及到对电流、电压为微分或积分 一阶电路: 包含一个电容或一个电感,如RC电路,RL电路 电路数学形式是一阶微分方程 二阶电路: 包含一个电容和一个电感,或者两个电容,或者两个电感,如RCL电路 电路数学形式是二阶微分方程 模拟电路和数字电路是基础 振荡器谐振荡器和模拟中有啥不 模拟电路可以直接从一个点引出信号 但数字信号得使用门?是不是?与门? 集总电路: 分布电路:在高速电路中就不能用集总参数模型了 线性电路: 非线性电路:二极管 三极管 高频电路 低频电路 电路元件 电容元件capacitor: 在外电源作用下,两块极板上分别存储等量的异性电荷,外电源撤走后,这些电荷依靠电场力的作用,相互吸引,而又为介质所绝缘不能中和,使得极板上的电荷长久存储下去。电容是一种能存储电场能量的器件。对于线性非时变电容,q(t)=Cu(t),电荷量与两端的电压成正比。当时,电容能承受的电压是有限的,超过一定值会被击穿,使用电容器时不应超过其额定工作电压。常研究的是电压和电流的关系,对于电容,某一时刻电容的电流取决于该时刻电容电压的变化率,电容电压是不能跃变的。u(t)=  ,我们选一个初时时刻t0,便=  +  =u(t0)+ 电感inductor: 导线中有电流时,周围即存在磁场,我们把导线绕成线圈,增强了线圈内部的磁场,便承购了电感。电感是一种存储磁场能量的元件。对于非时变电感元件,磁链 (t)=Li(t)。当然,电流也有一个限制,过大会使线圈收到过大的电磁力而发生机械变形,甚至烧毁线圈。考察电流和电压的关系:i(t)=  ,取初时时刻t0,便=  +  =i(t0)+ 。电感电流不能跃变。 电路分析方法 1.分解法:零输入响应+零状态响应 零输入 指没有外在输入 由系统非零初始状态引起的响应 电容电感的储能。取决于电路的初始状态和电路的特性。具体分析方法是,假定外部输入为零,电压源…电流源… 零状态 指初始状态为零,只有外部的激励的响应 完全响应就是零输入响应和零状态响应之和 对于线性动态电路 都可以应用分解和叠加 2.一阶电路三要素法:初始值、稳态值、时间常熟 首先,用电压为Uc(0)的直流电压源置换电容或用电流为 (0)的直流电流源置换电感,得到t=0时的等效电路,求得电压或电流的初始值 然后,用开路代替电容或用短路代替电感,得到t= 时的等效电路,求得电压或电流的稳态值 第三步,计算电路的时间常数 = C或 =L/ 最后,若0< < ,直接写出f(t)-f( )=[f(0)-f( )] 3 引入阶跃函数和冲激函数分析电路(阶跃响应、冲激响应) unit step function 单位阶跃函数  (t)=  零状态电路对单位阶跃信号的响应称为(单位)阶跃响应 冲激信号可视为幅度无限大,持续时间为零的信号。unit impulse function 单位冲激函数   零状态的电路对单位冲激信号的响应称为(单位)冲激响应 冲激函数是阶跃函数的导数 4 频率分析 5信号分析 传递函数 S域 转换为代数式 然后反拉氏变换 基尔霍夫定律:电流定律,任一节点上电流流出等于流出;电压定律,任何环路压降代数和为零。 戴维南定理 诺顿定理 大规模电路计算机分析求解 大量方程组 信号分析方法 用信号分析方法比电路分析方法更尽高一层 它不只关心信号经过某个模块之后的变化 把电路分为什么环节 时域与频域分析法是两种分析电路的方法 时域法 利用阶跃脉冲去考察电路的响应 电容在其中不会突变…而频域法是保持幅度不变 改变频率 看响应情况

CPLD

47decode case  : … dynamic scan 动态扫描的关键:设置一个数据切换,一个位选切换,二者要同步,使用同一时钟scan module 输入:clks, library… entity… architecture be of … begin process(clks) variable q:integer range 0 to 5  内部自循环 begin if clks case q is when 0 => dout<=a;sel<=”0001”; … end case; end process; 9999 可正可反 可设置数 输入: clk clr up_down 使用一个位判断正还是反 pre_cnt 使用一位判断是预置数还是计数 pre_d_c_b_a 3..0 输入预置数 输出: a 3…0 b c d本想用双时钟,if clkpre… elsif clkcnt  但好像行不通,process中只允许一个? 这里采用一个clk了,硬件上多麻烦一些算了 让up_down pre_cnt 都在clk下 先判断pre_cnt 如果=0 则是预置数状态,这是只能上升计数;如果=1,这时才允许判断up_down 0-9999不单位单位考虑了,一个整体10000位计数器 …. signal a_tmp b_tmp c_tmp d_tmp … if(clk’ event and clk=’1’) then if pre_cnt=’0’ then case pre_d_c_b_a is when “0001”=>a_tmp<=a_tmp+1;if a_tmp=9 then a_tmp<=”0000”;end if; when “0010”=>b_tmp when “0100”=>c_tmp when “1000”=>d_tmp others  null; end case; elsif pre_cnt=’1’ then if up_down=0 then a_tmp<=a_tmp+1; if a_tmp=9 then a_tmp<=”0000”;b_tmp<=b_tmp+1; if b_tmp=9 then b_tmp<=”0000”;c_tmp<=c_tmp+1; … end if; … timer9999 top.vhd–文件名:timer9999.vhd –功能:实现0-9999计数 –最后修改日期: –hey,this is my timer9999 with multifunction! –i don’t use the method of having another 16 input bits! –i remember the time when i was doing the digital-electronic design –and i came out an idea –when  preloading datas –use the counter function itself,to let one bit run!ok! —–预置数额外增添16位太费,我想出用单个位计数前进的方法! –双连字符可以进行注释 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --引用库 —– entity timer9999 is port(clr,clk,pre_cnt,up_down:in std_logic;–pre_cnt:preload or count;up_down:up/down counting pre_a_b_c_d:in std_logic_vector(3 downto 0); –pre_a_b_c_d:control which bit to preload a,b,c,d:out std_logic_vector(3 downto 0) ); end; --定义实体 —– architecture be of timer9999 is signal a_tmp,b_tmp,c_tmp,d_tmp:std_logic_vector(3 downto 0); begin a<=a_tmp;b<=b_tmp;c<=c_tmp;d<=d_tmp; –信号赋值 process(clr,clk,up_down) begin if clr=’0’ then a_tmp<=”0000”;b_tmp<=”0000”;c_tmp<=”0000”;d_tmp<=”0000”; elsif(clk ‘event and clk=’1’) then if pre_cnt=’0’ then case pre_a_b_c_d is  –you can see as follows,when preloading,i just to allow it up-count when “0001” =>a_tmp<=a_tmp+1;if a_tmp=9 then a_tmp<=”0000”;end if;–在VHDL中计数很容易的 when “0010” =>b_tmp<=b_tmp+1;if b_tmp=9 then b_tmp<=”0000”;end if; when “0100” =>c_tmp<=c_tmp+1;if c_tmp=9 then c_tmp<=”0000”;end if; when “1000” =>d_tmp<=d_tmp+1;if d_tmp=9 then d_tmp<=”0000”;end if; when others =>null; end case; elsif pre_cnt=’1’ then if up_down=’0’ then a_tmp<=a_tmp+1; if a_tmp=”1001” then a_tmp<=”0000”;b_tmp<=b_tmp+1; if b_tmp=9 then b_tmp<=”0000”;c_tmp<=c_tmp+1; if c_tmp=9 then c_tmp<=”0000”;d_tmp<=d_tmp+1; if d_tmp=9 then d_tmp<=”0000”; end if; end if; end if; end if; –1000-module counter,namely from 0 to 9999 elsif up_down=’1’ then a_tmp<=a_tmp-1; if a_tmp=0 then a_tmp<=”1001”;b_tmp<=b_tmp-1; if b_tmp=0 then b_tmp<=”1001”;c_tmp<=c_tmp-1; if c_tmp=0 then c_tmp<=”1001”;d_tmp<=d_tmp-1; if d_tmp=0 then d_tmp<=d_tmp-1; end if; end if; end if; end if; end if; end if; end if; end process; end be; –文件名:saomiaodecode.vhd –功能:saomiao and decode –最后修改日期: — library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; —– entity saomiaodecode is port(clks:in std_logic; a,b,c,d:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0); sel:out std_logic_vector(3 downto 0) ); end; —– architecture be of saomiaodecode is signal in47:std_logic_vector(3 downto 0); begin process(clks) variable q:integer range 0 to 3; begin if clks’event and clks=’1’then q:=q+1; end if; case q is when 0 => in47<=a;sel<=”0001”; when 1 => in47<=b;sel<=”0010”; when 2 => in47<=c;sel<=”0100”; when 3 => in47<=d;sel<=”1000”; when others =>null; end case; –控制动态显示 case in47 is when “0000”=>seg<=”1000000”; when “0001”=>seg<=”1111001”; when “0010”=>seg<=”0100100”; when “0011”=>seg<=”0110000”; when “0100”=>seg<=”0011001”; when “0101”=>seg<=”0010010”; when “0110”=>seg<=”0000010”; when “0111”=>seg<=”1111000”; when “1000”=>seg<=”0000000”; when “1001”=>seg<=”0010000”; when others=>null; end case; end process; end be; 产品 CPLD 复杂可编成逻辑器件VHDLAltera公司 EPM… 以前系里的板子上用的是EPM7032SLC44-10  四面封装 Xilinx 锁存 寄存、锁存就是控制EA,使EA=1时dout<=din而已 语言 Verilog HDL比VHDL更容易学习,Verilog更直观一些,而VHDL则更系统抽象一些,大部分人在使用Verilog。 VHDL在87年成为IEEE标准,系美国军方组织开发,而Verilog HDL在1995年才成为标准。 VHDLEntity eee is { input(); output(); } structure of eee is { process() } 用Verilog则 module adder(count,sum,a,b,cin); input[2:0] a,b; input cin; output count; output[2:0] sum; assign{count,sum}=a+b+cin; endmodule; {}为拼接符,将count和sum拼接成一个4位的信号

matlab

Matlab国际公认的最优秀的科技应用软件,数值计算,符号运算,图形处理及可视化 用于数学,信号,自控,通信….Matrix lab矩阵实验室的意思 part 1:数值计算 可直接在commond window输入 eg:for data >> 3+i*4-pi/6 ans = 2.4764 + 4.0000i note:pi is… eg:for functions >> 2*sin(pi/6)+exp(-i*4) ans = 0.3464 + 0.7568i note: typing “help” then a function can get help info,for example help exp eg:matrix >> a=[1 2 3;4 5 6;7 8 9] a = 1     2     3 4     5     6 7     8     9 >> b=eye(3) b = 1     0     0 0     1     0 0     0     1 >> c=zero(3) ??? Undefined command/function ‘zero’. >> c=zeros(3) c = 0     0     0 0     0     0 0     0     0 >> d=magic(3) d = 8     1     6 3     5     7 4     9     2 >> e=a-b; >> e=a-b e = 0     2     3 4     4     6 7     8     8 >> f=a*b f = 1     2     3 4     5     6 7     8     9 >> g=a’ g = 1     4     7 2     5     8 3     6     9 note: eye zeros magic are special matrix,zeros not zero; if use ; then no ans displayed a’求转置矩阵 eg:多项式计算 >> a=[1 3 2] a = 1     3     2 >> r=roots(a) r = -2 -1 note:roots求解以 1 3 2 为多项式从高到低次的系数的多项式的解 反向: r = -1    -2 >> poly(r) ans = 1     3     2 part 2:drawing eg: >> x=0:pi/30:2*pi; >> y1=sin(x); >> y2=sin(x+pi/2); >> plot(x,y1) >> plot(x,y2) >> plot(x,y1,’g square’,x,y2,’y+’) note:第一个plot绘制 x,y1的关系图.. 第三个在一个图中绘制两个的,并且定义了显示的样式,g square 表示显示绿色方块,y + 表示显示黄色的加号 ::是定义横轴起始、终结位置和基本间隔,其实是一个特殊向量,要深入1 到 10,输入1:10即可 note:in command window,you can use up arrow to get previous command eg: >> t=0:1/100:4; >> t=0:1/100:2*pi; >> x=sin(t); >> y=cos(t); >> plot(x,y) note:椭圆 some notes: clear: clear all the former variables exit:exit matlab clc:clear screen but don’t clear variables part 3:for symbols 符号运算 >> f=’sin(x)^2’; >> d=diff(f) d = 2*sin(x)*cos(x) note:求导 diff(f,t)对t 求导;int()积分 >> f=’x*sin(y)’; >> syms x y; >> f=’x*sin(y)’; >> a=diff(diff(f,x),y) a = cos(y) notes: syms 定义符号变量 这里求偏导了 符号绘图: eg: >> f=’cos(x)’; >> ezplot(f) eg: >> x=’sin(t)’;y=’cos(t)’;z=’t’; >> ezplot3(x,y,z) 绘出的是一个三位图 具体:信号中 eg: >> syms t w >> y=FOURIER(exp(-2*t)) Warning: Could not find an exact (case-sensitive) match for ‘FOURIER’. C:\Program Files\MATLAB71\toolbox\symbolic\@sym\fourier.m is a case-insensitive match and will be used instead. You can improve the performance of your code by using exact name matches and we therefore recommend that you update your usage accordingly.  Alternatively, you can disable this warning using warning(‘off’,’MATLAB:dispatcher:InexactMatch’). y = fourier(exp(-2*t),t,w) ilaplace others: 还可以使用函数,定义称.m文件,调用,就好比进行批处理 还可以使用调节控制 s=0;for i=1:100;s=s+i;end s

电视

图像原理 视觉暂留 25帧 理解监视器原理 行扫描 场扫描 采用的隔行扫描 现也逐行扫描 三基色配色 原理 principle 发射一方: 声音信号 话筒声电转换 调制 图像信号 摄影 采集信号 调制到高频上发射 图像信号和伴音/声音信号叠加在一起 视频信号不能进行远距离传输,所以必须把它调制到一个较高频率的载波信号上才能通过远距离传输线或发射天线进行传输 高频信号在有限长度的传输线上传输时会产生振荡,即新旧信号会产生迭加,并产生驻波,最特殊的情况就是四分之一波长短路线或开路线产生的驻波。四分 之一波长短路线相当于开路,可等效成一个并联振荡电路;四分之一波长开路线相当于短路,可等效成一个串联振荡电路。高频信号只有在无限长的传输线上传输时 或终端负载正好能把输入信号全部吸收时,传输线才不会产生振荡,即行波,这种情况就称为匹配。 当天线被充满电的时候(一种极端情况,对某一时刻⊿t进行分析),可看成是一个把极板张开的电容器,半波振子就相当于电容器的两块极板,极板上分布 的电荷会产生静电场,静电场会对远方的接收天线产生感应,使接收天线也带电;当天线被充电的时候,电流流过天线,此时天线又相当于一根导线,在导线的周围 会产生磁场,此磁场也会对远方的接收天线产生感应,产生感应电动势,这个可以把两根天线看成是变压器的初级和次级来理解就会很清楚。 天线就像是电感电容组成的串并联谐振电路一样工作的,它只对某个频率的信号产生谐振,音视频信号不是一个单一频率信号,所以它不会在天线回路中产生谐振,因此它不能通过无线电方式传输。载波在空气中的传播速度小于光速但很接近光速。 残留边带调制 电视编码 是采用的亮度信号Y,色差信号Y-G?和Y-B三个信号,由色差信号可得到三基色 行场同步 行场消隐信号 接收一方: 高频接收 高频头 也超外差式 本振 自动增益控制(AGC) 自动频率控制 AFC 中频电路的主要作用是对中频电视信号进行放大,另一个是对中频电视信号进行视频信号解调和差拍出6.5MHz的第二伴音中频调频信号。 监视器图像显示 扬声器播放声音 各频道的本机振荡频率始终比图像载频高38 MHz,比伴音载频高31.5 MHz。 每个频道的伴音载频始终比图像载频高6.5MHz。 PAL 端子和VCD/DVD VCD/DVD在电视上显示 VCD/DVD是什么 广播方式 地面广播 卫视 有线 彩色电视和黑白电视的主要区别 主要是彩色电视机比黑白电视机多了一个彩色解码 彩色电视机与黑白电视机是兼容的,因此彩色电视机也要接收黑白电视信号 数字化 数字电视就是拍摄、编辑、制作、播出、传输、接收等电视信号播出和接收的全过程都使用数字技术 信源和信道都采用数字技术 数字电视和现行的模拟电视最大的区别是数字电视的图像清晰而稳定,在覆盖区域内图像质量不会因信号传输距离的远近而变化,在信号传输整个过程中外界的噪声干扰都不会影响电视图像。而模拟电视会随着信号传输距离越远,图像质量越差。 高清电视  HDTV 数字电视 HDTV无论是技术还是市场都不算成熟,所以作为消费者还应采取观望的态度。但是,HDTV毕竟是未来电视发展的趋势 目前,对于我国消费者来说,想在近几年购买高清晰度电视机,不得不再多考虑考虑,因为高清晰度电视机是与价格成正比的,现在花费较多的钱,还没用上5年以上,又要更新,实在可惜!而现在还未正式启动高清晰度数字电视广播,等上5年,又太漫长了。 大屏幕电视 投影电视 家庭影院 液晶电视 平板电视 CRT成熟 液晶对人眼有利 什么是图文电视? 电视传输的是图像信号 能否传输纯文本的 图文电视是利用现有的电视频道进行图形、文字信息传输的一种多工广播。我们知道,电视图象是按照每秒50场 (PAL)的速率一场一场的传递,两场之间留有一段不传送图象信息的空隙,称为“场消隐期“。图文电视系统利用时分复用原理将图形、文字信息转换成数字信 号叠加在电视信号场消隐期的若干行上,与正常的广播电视信号同时传送,在不影响正常节目广播的同时,传送诸如新闻、天气预报、股票行情等各类社会信息。而 图文电视接收机内装图文解码器和相应的存储器,将叠加在电视信号上的图文数据分离出来,经存储、编码、切换,然后在屏幕上显示出静止的图形文字图画。我国 中文图文电视制式是CCST制式. Teletext? 数字机顶盒 是计算机和电视机的融合产物 嵌入式CPU是数字电视机顶盒的心脏 已采用软件 操作系统 有不同的机顶盒 有的不上网- 收看数字地面广播的、或有的收看数字有线的、或有的收看数字卫视的;有的则可以上网的- 有的可以上网、收发电子邮件;有的可以网络上视频点播的; 智能,软件系统,可软件升级 画中画电视 PIPTV picture-in-picture television 屏幕上几个窗口 等离子电视? 互动电视 interactive tv 付费电视 加密 信息家电 联网

高频微波

高频电子线路 无线电通信系统 发射机  接收机 载波 选频谐振网络 高频小信号调谐放大电路 高频功率放大器 正弦波振荡器 调幅与检波 角度调制与解调 仪器: 信号发生器 频谱分析仪 实验: 振荡器 分立收音机 集成电路收音机 微波电路 电场:静电荷周围,表现为对电体的力的作用 磁场:运动电荷周围,表现为对磁铁或载流导体力的作用 静电场:电荷量或位置不变 恒定磁场:运动电荷电量及速度不变 电磁场:时变 时变电场磁场相辅相成不可分割 能量传播 电磁波 不需要介质 是一种物质 微波元件,传输线 微波传输线可分为两类: TEM波(非色散波)传输线:双导体结构的传输线 平行双导体、同轴线(也是两个导体,内外)、带状线及微带线等,传输的是横电磁波;TEM波传输线称为长线,长线是相对波长而言的,凡是波长度比波长长或与波长相当的传输线就称为长线。均匀长线 传输线方程 输入阻抗 特性阻抗 反射 全反射 驻波 行波 匹配 。希望负载多吸收少反射。电压、电流波不是随时间和空间前进,而是作正弦变化、上下振动,称为驻波,驻波状态只有能量的贮存,没有能量的传输;而行波则是源馈送到长线的能量全部被负载吸收;能希望匹配好,使其工作在行波状态。阻抗匹配网络是设计微波电路与系统时采用最多的电路元件,这主要是微波电路传输的是电磁波,而不是低频电路中的电压与电流,若不匹配,将会引起严重反射。对于微波传输系统,为了提高长线的传输效率及功率容量、保持信号源工作稳定,希望信号源给出最大功率(要求西药源内阻与长线输入阻抗实现共轭匹配),负载能够吸收全部入射波功率(要求负载与长线实现无反射匹配)… 非TEM波(色散波)传输线:又分为均匀填充介质的波导管(矩形波导、圆波导等)-传输横电TE波或横磁TM波和介质波导,传输TE和TM波的混和波 分析电磁波沿传输线的传播特性的方法有两种。一种是“场”的分析方法,从麦克斯韦方程出发,在特定的边界条件下解电磁场的波动方程,求得各场量的时空变化规律。另一种是“路”的分析方法,将传输线作为分布参数电路处理,建立传输线方程,求得线上电压和电流的时空变化规律,分析其传输特性 ———– 无线电 高频的区别就在于是小信号 发射 谐振接收 我们知道,由电容和电感元件可以组成谐振回路。 其中串联谐振回路有以下特点:谐振时回路阻抗最小,且为纯电阻;电路中电流最大,并与电源电压同相… 理解振荡 无线 电磁波 先把开关扳到电池组一边,给电容器充电.稍后再把开关扳到线圈一边,让电容器通过线圈放电. 我们会看到电流表的指针左右摆动,这表明电路里产生了大小和方向做周期性变化的电流.这样产生的大小和方向都做周期性变化的电流,叫做振荡电流.能够产生振荡电流的电路叫做振荡电路.由自感线圈和电容器组成的电路,就是一种简单的振荡电路,称为LC回路. 由LC回路产生的振荡电流也是一种交变电流,只是它的频率要比照明用交变电流的频率高得多.用示波器观察振荡电流时会发现,LC回路里产生的振荡电流跟正弦交变电流一样,也是按正弦规律变化的. 把开关刚扳到线圈一边的瞬间(图甲1),也就是已经充电的电容器刚要放电的瞬间,电路里没有电流,电容器两极板上的电荷最多.从场的观点来看,电场具有电场能,磁场具有磁场能.此时,电容器里的电场最强,电路里的能量全部是储存在电容器中的电场能. 电容器开始放电后,由于线圈的自感作用,放电电流不能立刻达到最大值,而是由零逐渐增大,同时电容器极板上的电荷逐渐减少.到放电完毕的瞬间,电容器极板上没有电荷,放电电流达到最大值(图甲2).在这个过程中,电容器里的电场逐渐减弱,线圈的磁场逐渐增强,电场能逐渐转化为磁场能.到放电完毕的瞬间,电场能全部转化为磁场能. 电容器放电完毕的瞬间,由于线圈的自感作用,电流并不能立即减小为零,而要保持原来的方向继续流动,并逐渐减小.同时,电容器在反方向重新充电,电容器两极板带上相反的电荷,并且电荷逐渐增多.到反方向充电完毕的瞬间,电流减小为零,电容器极板上的电荷达到最大值(图甲3).在这个过程中,线圈的磁场逐渐减弱,电容器里的电场逐渐增强,磁场能逐渐转化为电场能.到反方向充电完毕的瞬间,磁场能全部转化为电场能. 后电容器再放电,再充电(图甲4,5),这样不断地充电和放电,电路中就出现了振荡电流.在这个过程中,电容器极板上的电荷q,电路中的电流i,电容器里电场的场强E,线圈磁场的磁感应强度B,都发生周期性的变化.这种现象叫做电磁振荡 振荡电路中的能量有一部分要以电磁波的形式辐射到周围空间中去.为什么电磁振荡会产生电磁波呢? 变化的磁场产生电场,变化的电场产生磁场,这是麦克斯韦理论的两大支柱.按照这个理论,变化的电场和磁场总是相互联系的,形成一个不可分离的统一的场,这就是电磁场.电场和磁场只是这个统一的电磁场的两种具体表现. 从麦克斯韦的电磁场理论可以知道:如果在空间某处发生了变化的电场,就会在空间引起变化的磁 场,这个变化的电场和磁场又会在较远的空间引起新的变化的电场和磁场.这样,变化的电场和磁场并不局限于空间某个区域,而要由近及远向周围空间传播开去. 电磁场这样由近及远地传播,就形成电磁波. 研究表明,要有效地向外界发射电磁波,振荡电路必须具有如下的特点: 第一,要有足够高的振荡频率.理论的研究证明,振荡电路向外界辐射能量的本领,即单位时间内辐射出去的能量,与频率的四次方成正比.频率越高,发射电磁波的本领越大. 第二,振荡电路的电场和磁场必须分散到尽可能大的空间,才能有效地把电磁场的能量传播出去. 因此,为了把无线电波发射出去,就要改造图甲中的LC振荡电路.像图乙、丙那样,增大电容器极板间的距离,减小极板的面积,同时减小自感线圈的匝数,以便减小L、C的值,增大振荡频率,同时使电场和磁场扩展到外部空间.这样的振荡电路叫做开放电路.最后,开放电路甚至可以演化成为一条导线(图丁).由开放电路可以有效地把电磁波发射出去. 无线电技术中使用的电磁波叫做无线电波. 无线电波的发射 实际应用中的开放电路,线圈下部用导线接地,这条导线叫做地线;线圈上部接到比较高的导线上,这条导线叫做天线(图示右部).无线电波就由这样的开放电路发射出去.电视发射塔建得很高,是为了使无线电波发射得较远. 在实际发射无线电波的装置中,在上面所说的开放电路旁还需加一个振荡器电路与之耦合(图示左部).LC振荡器电路产生的高频振荡电流通过L2与L1的互感作用,使L1也产生同频率的振荡电流,振荡电流在开放电路中激发出无线电波,向四周发射. 发射电磁波是为了利用它传递某种信号.例如无线电报传递的是电码符号,无线电广播传递的是声音,电视广播传递的不仅有声音,还有图像.这就要求发射的电磁波随信号而改变.在电磁波发射技术中,使电磁波随各种信号而改变叫做调制.使高频振荡的振幅随信号而改变叫做调幅,调幅广播(AM)一般使用中波和短波波段.使高频振荡的频率随信号而改变叫做调频,调频广播(FM)和电视广播都采用调频的方法来调制,通常使用微波中的甚高频(VHF)和超高频(UHF)波段. 无线电波的接收 电磁波在空间传播时,如果遇到导体,会使导体中产生感应电流.感应电流的频率跟激起它的电磁波的频率相同.因此,利用放在电磁波传播空间中的导体,就可以接收到电磁波.在无线电技术中,用天线和地线组成的接收电路来接收电磁波. 所以,接收电磁波时,首先要从诸多的电磁波中把我们需要的选出来,通常叫做选台. 这就要设法使我们需要的电磁波在接收天线中激起的感应电流最强.在无线电技术里,是利用电谐振来达到这个目的的.当接收电路的固有频率跟接收到的电磁波的 频率相同时,接收电路中产生的振荡电流最强.这种现象叫做电谐振,相当于机械振动中的共振. 使接收电路产生电谐振的过程叫做调谐,能够调谐的接收电路叫做调谐电路.图示是收音机的调谐电路.调节可变电容器的电容来改变调谐电路的频率,使它跟要接收的电台发出的电磁波的频率相同,这个频率的电磁波在调谐电路里激起较强的感应电流,这样就选出了这个电台. 由调谐电路接收到的感应电流,是经过调制的高频振荡电流,还不能使我们直接感受到所需要 的信号.例如在收音机中,这种高频振荡电流不能使耳机或扬声器的振动片振动发声.要听到声音,必须从高频振荡电流中“检”出声音信号,使扬声器(或耳机) 中的动片随声音信号振动.从接收到的高频振荡中“检”出所携带的信号,叫做检波.检波是调制的逆过程,因此也叫解调.检波之后的信号再经过放大、重现,我们就可以听到或看到了. 电视的发送和接收 振荡就是模拟的? 模拟中发射 数字中作时钟 脉冲发生? LC谐振 晶振 555振荡 通过单片机输出 通过CPLD输出 运算放大器设计 原理 正反馈? 要有选频环节 正反馈环节 基本放大电路环节 另一种角度? 电磁能 电场能? 晶体振荡器也分为无源晶振和有源晶振两种类型。 无源晶振为crystal(晶体),而有源晶振则叫做oscillator(振荡器) 石英晶体振荡器是利用石英晶体的压电效应来起振, 磁场能和电场能相互转化 用于选频

上一篇:
Android 安卓/安致
下一篇:
低端MCU市场的前途
本文目录
本文目录